BCD_TO_LINT-Baustein

Kurz-Information

NameBCD_TO_LINT
→POE-Typ→Funktion
KategorieIEC-Baustein, Convert
Konform zur →IEC-Norm

(tick) keine Einschränkungen

Grafische Schnittstelle

Verfügbar ab

Version 1.22.0 (für logi.CAD 3)

Funktionalität

Der Baustein konvertiert einen binär kodierten Dezimalwert (einen →BCD-Wert) in einen Dezimalwert vom Datentyp LINT.

Am Eingang IN geben Sie den BCD-Wert ein, der konvertiert werden soll. Falls es sich um keinen gültigen BCD-Wert handelt, ist das Ergebnis des Bausteins undefiniert.

Eingänge, Ergebniswert


Bezeichner→DatentypBeschreibung
Eingänge:IN

BYTEWORDDWORD oder LWORD

BCD-Eingangswert

Ergebniswert:LINT

Der Eingang EN und der Ausgang ENO sind für den →Aufruf des Bausteins verfügbar. Siehe "Ausführungssteuerung: EN, ENO" für Informationen zum Eingang EN und zum Ausgang ENO.

Informieren Sie sich unter:

Beispiel für Verwendung im ST-Editor

PROGRAM Test
	VAR
		result : LINT;
	END_VAR
	result := BCD_TO_LINT(IN := 16#12345);       (* The variable 'result' evaluates to '12345'. *)
END_PROGRAM

Bei der Erstellung Ihrer Anwendung im ST-Editor erstellen Sie den Aufruf eines Bausteins, indem Sie den laut Syntax erforderlichen Text eintippen oder die Inhaltshilfe verwenden.